首页
📁归档
⏳时光机
📫留言
🚩友链
💰资助名单
推荐
🎧音乐
🏜️ 壁纸
❤ 捐助
Search
1
【NPN/PNP三极管】放大电路饱和失真和截止失真的区别
18,700 阅读
2
论文写作中如何把word里面所有数字和字母替换为新罗马字体
9,774 阅读
3
【高数】形心计算公式讲解大全
8,660 阅读
4
【概论】一阶矩、二阶矩原点矩,中心矩区别与概念
7,300 阅读
5
【1】基于STM32CubeMX-STM32GPIO端口开发
6,648 阅读
🪶微语&随笔
励志美文
我的随笔
写作办公
📡电子&通信
嵌入式&系统
通信&信息处理
编程&脚本笔记
⌨️IC&系统
FPGA&ASIC
VLSI&IC验证
EDA&虚拟机
💻电子&计算机
IP&SOC设计
机器学习
软硬件算法
登录
刘航宇(共304篇)
找到
304
篇与
刘航宇
相关的结果
- 第 26 页
2021-02-13
VHDL语言38译码器
元件查看端口方法Tool>>Netlist Viewers>>RTL Viewers library ieee; use ieee.std_logic_1164.all; entity decoder38 is port (a,b,c,g1,g2,g3:in std_logic; y:out std_logic_vector(7 downto 0)); end decoder38; architecture rtl of decoder38 is signal cba: std_logic_vector(2 downto 0); begin cba<=c&b&a; process(cba,g1,g2,g3) begin if (g1='1' and g2='0' and g3='0') then case cba is when "000"=>y<="11111110"; when "001"=>y<="11111101"; when "010"=>y<="11111011"; when "011"=>y<="11110111" ; when "100"=>y<="11101111" ; when "101"=>y<="11011111" ; when "110"=>y<="10111111" ; when "111"=>y<="01111111"; when others=>y<="XXXXXXXX"; end case; else y<="11111111"; end if; end process; end rtl;实验现象图片
嵌入式&系统
刘航宇
5年前
0
390
1
2021-02-13
时域频域对应关系
1、时域离散,频域周期 离散信号相当于连续信号与一个冲激序列的乘积, 到频谱则是卷积, 而冲激序列的FT也是冲激序列, 因此原非周期频谱通过卷积形成了周期性的频谱 2、时域连续,频域非周期 3、时域周期,频域离散 4、时域非周期,频域连续 5、时域脉冲,频域直流 6、时域直流,频域脉冲 例如 判断:在时域对连续信号进行抽样,在频域中,所得频谱是原信号频谱的周期延拓 答:抽样,等于把连续信号采样为离散的序列了,所以频域就周期化
通信&信息处理
刘航宇
5年前
1
823
2
2021-02-01
【励志视频】人为什么要活着?请不负此生!
请不负此生!
励志美文
刘航宇
5年前
0
235
2
2021-01-28
KMP遍历算法
KMP算法是一种改进的字符串匹配算法,关键是利用匹配后失败的信息,尽量减少模式串(W)与主串(T)的匹配次数以达到快速匹配的目的。具体实现就是实现一个next() 函数,函数本身包含了模式串的局部匹配信息。时间复杂度 O(m+n)。 如果考虑一般的方法,我们可以将T[0]和W[0]进行匹配,如果相同则匹配下一个字符,直到出现不相同的情况,此时我们会丢弃前面的匹配信息,然后把T[1]跟W[0]匹配,循环进行,直到主串结束,或者出现匹配成功的情况。这种丢弃前面的匹配信息的方法,时间复杂度为O(m*n)。 学习代码: #include <iostream> #include <string> #include <vector> #include <algorithm> using namespace std; //get_next函数 vector<int> get_next(string b) //用vector来保存子串b的next数组 { vector<int> result; //添加元素并且默认赋值为0,建立一个空的容器就 int i, j; i = 0; j = -1; result.push_back(-1); //将容器首元素赋值,作为标识使用 while (i < b.size() - 1) { if (j == -1 || b[i] == b[j]) //b[i]表示后缀的单个字符,b[j]表示前缀的单个字符 { ++i; ++j; //这里的其实是优化重复的字符 //可以直接用result.push_back(j);代替下面的判断语句 if (b[i] != b[j]) result.push_back(j); else if (i == 1) result.push_back(j); else result.push_back(result[j]); } else j = result[j]; //若字符不同,前缀字符回溯 } return result; } //KMP函数 int KMP(string a, string b) { vector<int> next = get_next(b); //调用get_text函数 int i = 0; int j = 0; //注意size函数返回的类型是string::size_type是无符号数 //若i<主串的长度且j<子串的长度时,循环继续 while (i < (int)a.size() && j <(int)b.size()) { if (j == -1 || a[i] == b[j]) //两字母相等则继续,相对于朴素算法增加了 { ++i; ++j; } else { j = next[j]; //若不相等,j退回合适的位置 } } if (j == b.size()) { //这里返回的是匹配字符开始的下标位 return i - j; } else { return -1; } } int main() { string str; cout << "enter the string:"; cin >> str; string pattern; cout << "enter the pattern:"; cin >> pattern; int result = KMP(str, pattern); cout << result << endl; }
编程&脚本笔记
刘航宇
5年前
0
311
0
上一页
1
...
25
26