侧边栏壁纸
    • 累计撰写 296 篇文章
    • 累计收到 522 条评论
    【4】Verilog-基础语法2
    我的学记|刘航宇的博客

    【4】Verilog-基础语法2

    刘航宇
    2022-05-16 / 0 评论 / 397 阅读 / 正在检测是否收录...

    表达式

    表达式由操作符和操作数构成,其目的是根据操作符的意义得到一个计算结果。表达式可以在出现数值的任何地方使用。例如:

    a^b ;          //a与b进行异或操作
    address[9:0] + 10'b1 ;  //地址累加
    flag1 && flag2 ;  //逻辑与操作

    操作数
    操作数可以是任意的数据类型,只是某些特定的语法结构要求使用特定类型的操作数。

    操作数可以为常数,整数,实数,线网,寄存器,时间,位选,域选,存储器及函数调用等。

    module test;
    
    //实数
    real a, b, c;
    c = a + b ;
    
    //寄存器
    reg  [3:0]       cprmu_1, cprmu_2 ;
    always @(posedge clk) begin
            cprmu_2 = cprmu_1 ^ cprmu_2 ;
    end
             
    //函数
    reg  flag1 ;
    flag = calculate_result(A, B);
     
    //非法操作数
    reg [3:0]         res;
    wire [3:0]        temp;
    always@ (*)begin
        res    = cprmu_2 – cprmu_1 ;
        //temp = cprmu_2 – cprmu_1 ; //不合法,always块里赋值对象不能是wire型
    end
    
    endmodule

    操作符
    Verilog 中提供了大约 9 种操作符,分别是算术、关系、等价、逻辑、按位、归约、移位、拼接、条件操作符。

    大部分操作符与 C 语言中类似。同类型操作符之间,除条件操作符从右往左关联,其余操作符都是自左向右关联。圆括号内表达式优先执行。例如下面每组的 2 种写法都是等价的。

    不同操作符之间,优先级是不同的。下表列出了操作符优先级从高至低的排列顺序。当没有圆括号时,Verilog 会根据操作符优先级对表达式进行计算。为了避免由操作符优先级导致的计算混乱,在不确定优先级时,建议用圆括号将表达式区分开来。

    算术操作符
    算术操作符包括单目操作符和双目操作符。

    双目操作符对 2 个操作数进行算术运算,包括乘()、除(/)、加(+)、减(-)、求幂(*)、取模(%)。

    reg [3:0]  a, b;
    reg [4:0]  c ;
    a = 4'b0010 ;
    b = 4'b1001 ;
    c = a+b;        //结果为c=b'b1011
    c = a/b;          //结果为c=4,取整

    如果操作数某一位为 X,则计算结果也会全部出现 X。例如:

    b = 4'b100x ;
    c = a+b ;       //结果为c=4'bxxxx

    对变量进行声明时,要根据变量的操作符对变量的位宽进行合理声明,不要让结果溢出。上述例子中,相加的 2 个变量位宽为 4bit,那么结果寄存器变量位宽最少为 5bit。否则,高位将被截断,导致结果高位丢失。无符号数乘法时,结果变量位宽应该为 2 个操作数位宽之和。

    reg [3:0]        mula ;
    reg [1:0]        mulb;
    reg [5:0]        res ;
    mula = 4'he   ;
    mulb = 2'h3   ;
    res  = mula * mulb ; //结果为res=6'h2a, 数据结果没有丢失位数
    • 和 - 也可以作为单目操作符来使用,表示操作数的正负性。此类操作符优先级最高。
      -4 //表示负4
      +3 //表示正3
      负数表示时,可以直接在十进制数字前面增加一个减号 -,也可以指定位宽。因为负数使用二进制补码来表示,不指定位宽来表示负数,编译器在转换时,会自动分配位宽,从而导致意想不到的结果。例如:

      mula = -4'd4 ;
      mulb = 2 ;
      res = mula * mulb ;      //计算结果为res=-6'd8, 即res=6'h38,正常
      res = mula * (-'d4) ;    //(4的32次幂-4) * 2, 结果异常

      关系操作符
      关系操作符有大于(>),小于(<),大于等于(>=),小于等于(<=)。
      关系操作符的正常结果有 2 种,真(1)或假(0)。
      如果操作数中有一位为 x 或 z,则关系表达式的结果为 x

      A = 4 ;
      B = 3 ;
      X = 3'b1xx ;
       
      A > B     //为真
      A <= B    //为假
      A >= Z    //为X,不确定

      等价操作符
      等价操作符包括逻辑相等(==),逻辑不等(!=),全等(===),非全等(!==)。
      等价操作符的正常结果有 2 种:为真(1)或假(0)。
      逻辑相等/不等操作符不能比较 x 或 z,当操作数包含一个 x 或 z,则结果为不确定值。
      全等比较时,如果按位比较有相同的 x 或 z,返回结果也可以为 1,即全等比较可比较 x 或 z。所以,全等比较的结果一定不包含 x。举例如下:

      A = 4 ;
      B = 8'h04 ;
      C = 4'bxxxx ;
      D = 4'hx ;
      A == B        //为真
      A == (B + 1)  //为假
      A == C        //为X,不确定
      A === C       //为假,返回值为0
      C === D       //为真,返回值为1

      逻辑操作符
      逻辑操作符主要有 3 个:&&(逻辑与), ||(逻辑或),!(逻辑非)。
      逻辑操作符的计算结果是一个 1bit 的值,0 表示假,1 表示真,x 表示不确定。
      如果一个操作数不为 0,它等价于逻辑 1;如果一个操作数等于 0,它等价于逻辑 0。如果它任意一位为 x 或 z,它等价于 x。
      如果任意一个操作数包含 x,逻辑操作符运算结果不一定为 x。
      逻辑操作符的操作数可以为变量,也可以为表达式。例如:

      A = 3;
      B = 0;
      C = 2'b1x ;
       
      A && B    //     为假
      A || B    //     为真
      ! A       //     为假
      ! B       //     为真
      A && C    //     为X,不确定
      A || C    //     为真,因为A为真
      (A==2) && (! B)  //为真,此时第一个操作数为表达式

      按位操作符
      按位操作符包括:取反(~),与(&),或(|),异或(^),同或(~^)。

    按位操作符对 2 个操作数的每 1bit 数据进行按位操作。

    如果 2 个操作数位宽不相等,则用 0 向左扩展补充较短的操作数。

    取反操作符只有一个操作数,它对操作数的每 1bit 数据进行取反操作。

    下图给出了按位操作符的逻辑规则。

    A = 4'b0101 ;
    B = 4'b1001 ;
    C = 4'bx010 ;
       
    ~A        //4'b1010
    A & B     //4'b0001
    A | B     //4'b1101
    A^B       //4'b1100
    A ~^ B    //4'b0011
    B | C     //4'b1011
    B&C       //4'bx000

    移位操作符
    移位操作符包括左移(<<),右移(>>),算术左移(<<<),算术右移(>>>)。
    移位操作符是双目操作符,两个操作数分别表示要进行移位的向量信号(操作符左侧)与移动的位数(操作符右侧)。
    算术左移和逻辑左移时,右边低位会补 0。
    逻辑右移时,左边高位会补 0;而算术右移时,左边高位会补充符号位,以保证数据缩小后值的正确性。

    A = 4'b1100 ;
    B = 4'b0010 ;
    A = A >> 2 ;        //结果为 4'b0011
    A = A << 1;         //结果为 4'b1000
    A = A <<< 1 ;       //结果为 4'b1000
    C = B + (A>>>2);    //结果为 2 + (-4/4) = 1, 4'b0001

    拼接操作符
    拼接操作符用大括号 {,} 来表示,用于将多个操作数(向量)拼接成新的操作数(向量),信号间用逗号隔开。
    拼接符操作数必须指定位宽,常数的话也需要指定位宽。例如:

    A = 4'b1010 ;
    B = 1'b1 ;
    Y1 = {B, A[3:2], A[0], 4'h3 };  //结果为Y1='b1100_0011
    Y2 = {4{B}, 3'd4};  //结果为 Y2=7'b111_1100
    Y3 = {32{1'b0}};  //结果为 Y3=32h0,常用作寄存器初始化时匹配位宽的赋初值

    条件操作符
    条件表达式有 3 个操作符,结构描述如下:

    condition_expression ? true_expression : false_expression
    计算时,如果 condition_expression 为真(逻辑值为 1),则运算结果为 true_expression;如果 condition_expression 为假(逻辑值为 0),则计算结果为 false_expression。

    assign hsel = (addr[9:8] == 2'b0) ? hsel_p1 : hsel_p2 ;
    //当信号 addr 高 2bit 为 0 时,hsel 赋值为 hsel_p1; 否则,将 hsel_p2 赋值给 hsel。
    其实,条件表达式类似于 2 路(或多路)选择器,其描述方式完全可以用 if-else 语句代替。

    当然条件操作符也能进行嵌套,完成一个多次选择的逻辑。例如

    assign   hsel = (addr[9:8] == 2'b00) ? hsel_p1 :
                    (addr[9:8] == 2'b01) ? hsel_p2 :
                    (addr[9:8] == 2'b10) ? hsel_p3 :
                    (addr[9:8] == 2'b11) ? hsel_p4 ;

    Verilog 编译指令

    以反引号 ` 开始的某些标识符是 Verilog 系统编译指令。

    编译指令为 Verilog 代码的撰写、编译、调试等提供了极大的便利。

    下面介绍下完整的 8 种编译指令,其中前 4 种使用频率较高。
    `define
    `undef
    在编译阶段,`define 用于文本替换,类似于 C 语言中的 #define。

    一旦 `define 指令被编译,其在整个编译过程中都会有效。例如,在一个文件中定义:
    `define DATA_DW 32
    则在另一个文件中也可以直接使用 DATA_DW

    `define    S     $stop;   
    //用`S来代替系统函数$stop; (包括分号)
    `define    WORD_DEF   reg [31:0]       
    //可以用`WORD_DEF来声明32bit寄存器变量

    `undef 用来取消之前的宏定义,例如

    `define    DATA_DW     32
    ……
    reg  [DATA_DW-1:0]    data_in   ;
    ……
    `undef DATA_DW
    
    `ifdef, `ifndef, `elsif, `else, `endif
    `ifdef       MCU51
        parameter DATA_DW = 8   ;
    `elsif       WINDOW
        parameter DATA_DW = 64  ;
    `else
        parameter DATA_DW = 32  ;
    `endif

    当然,也可用 `ifndef 来设置条件编译,表示如果没有相关的宏定义,则执行相关语句。

    下面例子中,如果定义了 WINDOW,则使用第二种参数说明。如果没有定义 WINDOW,则使用第一种参数说明。

    `ifndef     WINDOW
        parameter DATA_DW = 32 ;  
     `else
        parameter DATA_DW = 64 ;
     `endif

    `include
    使用 `include 可以在编译时将一个 Verilog 文件内嵌到另一个 Verilog 文件中,作用类似于 C 语言中的 #include 结构。该指令通常用于将全局或公用的头文件包含在设计文件里。

    文件路径既可以使用相对路径,也可以使用绝对路径。

    `include         "../../param.v"
    `include         "header.v"

    `timescale
    在 Verilog 模型中,时延有具体的单位时间表述,并用 `timescale 编译指令将时间单位与实际时间相关联。
    该指令用于定义时延、仿真的单位和精度,格式为:

    `timescale      time_unit / time_precision

    time_unit 表示时间单位,time_precision 表示时间精度,它们均是由数字以及单位 s(秒),ms(毫秒),us(微妙),ns(纳秒),ps(皮秒)和 fs(飞秒)组成。时间精度可以和时间单位一样,但是时间精度大小不能超过时间单位大小,例如下面例子中,输出端 Z 会延迟 5.21ns 输出 A&B 的结果。

    `timescale 1ns/100ps    //时间单位为1ns,精度为100ps,合法
    //`timescale 100ps/1ns  //不合法
    module AndFunc(Z, A, B);
        output Z;
        input A, B ;
        assign #5.207 Z = A & B
    endmodule

    `timescale 10ns/1ns      
    module test;
        reg        A, B ;
        wire       OUTZ ;
     
        initial begin
            A     = 1;
            B     = 0;
            # 1.28    B = 1;
            # 3.1     A = 0;
        end
     
        AndFunc        u_and(OUTZ, A, B) ;
    endmodule

    在模块 AndFunc 中,5.207 对应 5.21ns。
    在模块 test 中,1.28 对应 13ns,3.1 对应 31ns。
    但是,当仿真 test 时,由于 AndFunc 中的最小精度为 100ps,因此 test 中的时延精度将进行重新调整。13ns 将对应 130100ps,31ns 将对应 310100ps。仿真时,时延精度也会使用 100ps。仿真时间单位大小没有影响。
    如果有并行子模块,子模块间的 `timescale 并不会相互影响。
    例如在模块 test 中再例化一个子模块 OrFunc。仿真 test 时,OrFunc 中的 #5.207 延时依然对应 52ns。

    //子模块:
    `timescale 10ns/1ns      //时间单位为1ns,精度为100ps,合法
    module OrFunc(Z, A, B);
        output Z;
        input A, B ;
        assign #5.207 Z = A | B
    endmodule
     
    //顶层模块:
    `timescale 10ns/1ns      
    module test;
        reg        A, B ;
        wire       OUTZ ;
        wire       OUTX ;
     
        initial begin
            A     = 1;
            B     = 0;
            # 1.28    B = 1;
            # 3.1     A = 0;
        end
     
        AndFunc        u_and(OUTZ, A, B) ;
        OrFunc         u_and(OUTX, A, B) ;
     
    endmodule

    此例中,仿真 test 时,OrFunc 中的 #5.207 延时依然对应 52ns。

    `timescale 的时间精度设置是会影响仿真时间的。时间精度越小,仿真时占用内存越多,实际使用的仿真时间就越长。所以如果没有必要,应尽量将时间精度设置的大一些。
    `default_nettype
    该指令用于为隐式的线网变量指定为线网类型,即将没有被声明的连线定义为线网类型。

    `default_nettype wand

    该实例定义的缺省的线网为线与类型。因此,如果在此指令后面的任何模块中的连线没有说明,那么该线网被假定为线与类型。

    `default_nettype none

    该实例定义后,将不再自动产生 wire 型变量。
    例如下面第一种写法编译时不会报 Error,第二种写法编译将不会通过。

    //Z1 无定义就使用,系统默认Z1为wire型变量,有 Warning 无 Error
    module test_and(
            input      A,
            input      B,
            output     Z);
        assign Z1 = A & B ;  
    endmodule
    //Z1无定义就使用,由于编译指令的存在,系统会报Error,从而检查出书写错误
    `default_nettype none
    module test_and(
            input      A,
            input      B,
            output     Z);
        assign Z1 = A & B ;  
    endmodule

    `celldefine
    module (
        input      clk,
        input      rst,
        output     clk_pll,
        output     flag);
            ……
    endmodule
    `endcelldefine

    1
    【2】Verilog练习-组合逻辑电路多路选择器
    « 上一篇 2022-05-17
    【1】Verilog练习-基本门电路反相器&与非门
    下一篇 » 2022-05-15

    评论 (0)

    取消