侧边栏壁纸
    • 累计撰写 296 篇文章
    • 累计收到 520 条评论
    HFSS软件笔记
    我的学记|刘航宇的博客

    HFSS软件笔记

    刘航宇
    2023-03-10 / 0 评论 / 866 阅读 / 正在检测是否收录...

    # HFSS软件学习笔记

    一、HFSS中的边界条件(Boundaries)

    边界条件定义了求解区域的边界以及不同物体交界处的电磁场特性,是求解麦克斯韦方程的基础。

    只有在假定场矢量是单值、有界、并且沿空间连续分布的前提下,微分形式的麦克斯韦方程组才是有效的;而在求解区域的边界、不同介质的交界处和场源处,场矢量是不连续的,那么场的导数也就失去了意义。边界条件就是定义跨越不连续边界处的电磁场的特性,因此,正确地理解、定义并设置边界条件,是正确使用HFSS仿真分析电磁场场特性的前提。

    边界条件的类型:

    • 理想导体边界(Perfect E)
    电场矢量垂直于物体表面,有两种边界被自动设为理想导体边界条件:
    1、任何与背景相关联的物体表面将被自动定义为理想导体边界,并命名为outer边界
    2、材料设为PEC(理想电导体)的物体表面被自动定义为理想导体边界,并命名为smental
    电场矢量与物体表面相切,磁场矢量与物体表面垂直。
    自然边界(Natural):当理想导体边界和理想磁边界出现交叠时,理想磁边界也称为自然边界
    注意:在理想导体边界上叠加理想磁边界将去掉理想导体边界的特性,相当于在理想导体表面开个口,允许电场穿过。
    • 有限导体边界(Finite Conductivity)
    有耗导体/非理性导体边界条件,电场垂直于物体表面,在电磁波的传播方向上电场会愈来愈小
    用户需要设置的参数:导电率和导磁率
    注意:当物体的材料设置为非理想导体(如铜、铝等金属材料)时,其表面自动定义为有限导体边界条件。
    • 辐射边界(Radiation)
    用于模拟开放的自由空间,模拟波辐射到空间的无限远处的情况,常用于天线问题的分析。当结构中包含辐射边界条件时,HFSS会自动计算结构的远区场。(使用Perfect H边界条件模拟开放空间时,不会计算远区场)
    辐射边界条件是自由空间的近似,这种近似的准确程度取决于波的传播方向与辐射边界之间的角度,以及辐射源与边界之间的距离。辐射边界在各个方向上距离辐射体一般不小于1/4个波长。
    • 对称边界(Symmetry)
    模拟理想电壁或理想磁壁对称面,应用对称边界可以构造结构时仅构造一部分,减小结构的尺寸和设计的复杂性,缩短计算时间。
    定义对称平面时,需要遵循以下原则:
    1、对称平面必须暴露在背景中
    2、对称面必须定义在平面表面上,不能定义在曲面上
    3、在一个问题上最多只能定义三个正交对称面
    决定对称面的类型:
    1、如果电场垂直于对称面且对称,使用理想电壁对称面
    2、如果磁场垂直于对称面且对称,使用理想磁壁对称面
    此外使用对称边界条件需要设置阻抗乘法器:
    1、理想电壁对称面将结构分为两部分时,只有一半的电压值和一半的能量被计算,由Zpu=U*U/P计算出的阻抗也只有真实值的一半,所以需要定义2倍的阻抗乘法器。
    2、理想磁壁对称面将结构分为两部分时,只有一半的能量被计算,而电压保持不变,由Zpu=U*U/P计算出的阻抗是真实值的2倍,所以需要定义0.5倍的阻抗乘法器。

    在这里插入图片描述

    • 阻抗边界(Impedance)
    用于模拟已知阻抗的边界表面,如薄膜电阻表面;表面的阻抗Zs=Rs+jXs。
    阻抗的计算:
    number of "Square"=Length(in direction of current flow)/Width
    Impedance per Square=Desired Lumped Impedance/number of square
    类似于阻抗边界条件,利用用户提供的R、L、C值计算出对应的阻抗值
    与阻抗边界不同的是,集总RLC边界不需要提供以Ohms/Square为单位的电阻和电抗,而是要给出R、L和C的真实值;之后HFSS就能确定任意频率下集总RLC边界以Ohms/Square为单位的阻抗。
    分层阻抗边界条件是用多层结构将物体表面模拟为一个阻抗表面,其效果与阻抗边界条件相同;
    与阻抗边界条件不同的是,对于分层阻抗边界条件,HFSS是根据输入的分层结构数据和表面粗糙度来计算表面电阻和表面电抗的。
    分层边界条件不支持快速扫频。
    • 无限地平面(Infinite Ground Plane)
    在设置理想导体边界、有限导体边界或阻抗边界时有"Infinite Ground Plane"复选框。
    将有限大的边界表面模拟成无限大地平面的作用,设置无限大平面边界后,在后处理中会影响近区、远区辐射场的计算。
    定义无限大平面时,需要满足以下条件:
    1、必须暴露在背景上
    2、必须定义在平面上、
    3、无限大平面和对称面的总数不超过3个
    4、所有无限大地平面和对称面必须相互垂直
    • 主从边界(Master and slave)
    简称为关联边界条件LBC,主要用于模拟平面周期性结构表面,例如阵列天线。
    包括主边界条件(Master)和从边界条件(Slave),总是成对出现,且主边界表面和从边界表面的形状、大小和方向完全相同,主边界表面和从边界表面上的电场存在一定的相位差,该相位差就是周期性结构相邻单元之间存在的相位差。
    定义主从边界表面时,用户需要正确设置U、V坐标系,保证主从边界表面大小和方向完全一致。
    • 理想匹配层(PML)
    理想匹配层,是能够完全吸收入射电磁波的假想各项异性材料边界。理想匹配层有两种典型的应用:一是用于外场问题中的自由空间截断,二是用于导波问题中的吸收负载。
    对于导波的吸收负载,理想匹配层模拟导波结构均匀地延申到无穷远处。
    对于自由空间截断地情况,理想匹配层地作用类似于辐射边界条件,PML表面能够完全吸收入射过来地电磁波。和辐射边界条件相比,理想匹配层因为能够完全吸收入射的电磁波,零反射,因此计算结果更精确;同时理想匹配层表面可以距离辐射体更近(差不过十分之一个波长即可),不需要像辐射边界表面一般需要距离辐射体大约四分之一个波长。

    二、HFSS中的激励方式(Excitation)

    HFSS中,激励是一种定义在三维物体表面或者二维物体上的激励源,这种激励源可以是电磁波激励、电压源或者电流源,激励端口是一种允许能量进入或流出几何结构的特殊边界条件类型。

    激励类型:

    • 波端口(Wave Port)
    默认情况下,所有三维物体和背景之间的接触面都是理想导体边界,没有能量可以进出;波端口设置在背景上,用作模型的激励源并提供一个能量进入/流出的窗口。波端口一般设置在背景平面上,不允许端口平面弯曲。
    波端口模式(modes):对于给定横截面的波导或传输线,特定频率下有一系列的解满足相应的边界条件和麦克斯韦方程组,每个解都称之为一种模式,或者说一种波形。通常,模式是根据电场和磁场沿导波系统传输方向上有无分量这一情况来命名的,假设导波系统沿z轴放置,上述分量是指z向的电场分量Ez和磁场分量Hz。
    ​ 对于Ez=0、Hz=0一类的模,称之为横电磁模,即TEM模;
    ​ 对于Ez=0、Hz不为0一类模,称之为横电模,即TE模;
    ​ 对于Ez不为0、Hz=0一类的模,称之为横磁模,即TM模。
    端口校准:波端口必须被校准以确保一致的结果;校准的目的有两个,确定场的方向、设置电压的积分路径。
    端口平移(Deembed):是指平移端口的位置,查看其对计算结果的影响;选中使用端口平移功能,只影响数据后处理,HFSS不会重新进行仿真计算。HFSS端口平移中正数表示参考平面向模型内部移动,负数则是向外延申。
    终端线(Terminal):对于终端驱动的求解类型,终端的S参数反映的是波端口节点电压和电流的线性叠加,通过波端口处的节点电流和电压可以计算出端口的阻抗和S参数矩阵。
    • 集总端口(Lumped Por)
    集总端口激励和波端口激励是HFSS中最常用的两种激励方式。
    集总端口激励类似于传统的波端口,与波端口不同的是集总端口可以设置在物体模型内部,且用户需要设定端口阻抗;集总端口直接在端口处计算S参数,设定的端口阻抗即为集总端口上S参数的参考阻抗;另外集总端口不计算端口处的传播常数,因此集总端口无法进行端口平移操作。
    集总端口激励的尺寸大小要比波端口小
    • Floquet端口(Floquet Port)
    与波端口的求解方式类似,Floquet端口求解的反射和传输系数能够以S参数的形式显示。使用Floquet端口激励并结合周期性边界,能够像传统波导端口激励一样轻松的分析周期型结构的电磁特性,从而避免了场求解器复杂的后处理过程。
    • 入射波(Incident Wave)
    是用户设置的朝某一特定方向传播的电磁波,其等相位面与传播方向垂直;入射波照射到器件表面和器件表面的夹角称为入射角。入射波激励常用于雷达反射截面(RCS)问题的计算。
    需要设置的参数有:波的传播方向(Poynting Vector)、电场的强度和方向。
    • 电压源激励(Voltage)
    电压源激励定义在两层导体之间的平面上,用理想电压源来表示该平面上的电场激励。
    电压源激励时需要设置的参数有:电压的幅度、相位和电场的方向。
    注意:电压源激励所在的平面必须远小于工作波长,且平面上的电场是恒定电场;电压源激励是理想的源,没有内阻,因此后处理时不会输出S参数。
    • 电流源激励(Current)
    电流源激励定义于导体表面或者导体表面的缝隙上,用理想电流源来表示该平面上激励。
    电流源激励需要设定的参数有:导体表面缝隙的电流幅度、相位和方向。
    注意:电流源激励所在的平面/缝隙必须小于工作波长,且平面/缝隙上的电流是恒定的;电流源激励是理想的源,没有内阻,因此后处理时不会输出S参数。
    • 磁偏置激励(Magnetic Bias)
    创建一个铁氧体材料时,必须通过设置磁偏置激励来定义网格的内部偏置场;该偏置场使得铁氧体中的磁性偶极子规则排列,产生一个非零的磁矩。
    ​ 如果应用的偏置场时均匀的,张量坐标系可以通过旋转全局坐标系来设置
    ​ 如果应用的偏置场时非均匀的,不允许旋转全局坐标来设置张量坐标系

    三、求解类型和求解设置

    1、HFSS中有三种求解类型:模式驱动求解(Driven Model)、终端驱动求解(Driven Terminal)和本征模求解(Eigenmode)

    ​ 模式驱动求解类型:以模式为基础计算S参数,根据导波内各模式场的入射功率和反射功率来计算S参数矩阵的解。

    ​ 终端驱动求解类型:以终端为基础计算导体传输线端口的S参数;此时,根据传输线终端的电压和电流来计算S参数矩阵的解。

    ​ 本征模式求解类型:本征模式求解器主要用于谐振问题的设计与分析,可以用于计算谐振结构的谐振频率和谐振频率处对应的场,也可以用于计算谐振腔体的无载Q值。

    应用本征模式求解时注意:
    不需要设置激励方式
    不能定义辐射边界条件
    不能进行扫频分析
    不能包含铁氧体材料
    只有场解结果,没有S参数求解结果

    2、自适应网格剖分:在分析对象内部搜索误差最大的区域并进行网格的细化,每次网格细化过程中网格增加百分比由用户事先设置,完成一次细化过程后,重新计算并搜索误差最大的区域,然后判断误差是否满足设置的收敛条件。如果满足收敛条件,则完成网格剖分;如果不满足收敛条件,继续下一次网格细化过程,直到满足收敛条件或者达到设置的最大迭代次数为止。

    3、求解频率(网格自适应剖分频率)的选择

    HFSS计算时自适应网格剖分是在用户设定的单一频点上进行的,网格剖分完成后,同一个求解设置项下其他频点的求解都是基于前面设定频点上所完成的网格划分。自适应频率设置越高,网格剖分就越细,网格个数就越多,计算结果也相应地更加准确,但同时计算过程中所占用地计算机内存也就越高,计算所花费地时间也越长。

    下面给出几个常用问题类别的自适应频率的选择:

    • 点频或窄带问题:对于点频或者窄带问题,自适应网格剖分直接选择工作频率。
    • 宽带问题:对于宽带问题,应该选择最高频率作为自适应网格剖分频率。
    • 滤波器问题:对于滤波器问题,由于阻带内电场只存在于断口处,所以自适应频率选择在通带内的高频段。
    • 快速扫频问题:对于快速扫频问题,典型的做法就是选择中心频率作为自适应频率。
    • 高速数字信号:对于高速数字信号完整性分析问题,需要借助转折频率(Knee Frequency)来决定自适应网格剖分频率

    4、扫频分析

    离散扫频(Discrete):是在频带内的指定频点处计算S参数和场解。例如,指定频带范围为1~2GHz、步长为0.25GHz,则会计算在1GHz、1.25GHz、1.5GHz、1.75GHz、2GHz频点处的S参数和场解。默认情况下,使用离散扫频只保存最后计算频率点的场解。如果希望保存指定的所有频率点的场解,需要选中设置对话框中Save Fields复选框。

    快速扫频(Fast):采用ALPS算法,在很宽的频带范围内搜寻处传输函数的全部零、极点。快速扫频适用于谐振问题和高Q值问题的分析,可以得到场在谐振点附近行为的精确描述。使用快速扫频,一般选择频带中心频率作为自适应网格剖分频率进行网格剖分,计算出该频点的S参数和场分布,然后使用基于ALPS算法的求解器从中心频率处的S参数解和场解来外推整个频带范围的S参数和场解。使用快速扫频,计算时只会求解中心频点处的场解,但在数据后处理时整个扫频范围内的任意频点的场都可以显示。

    插值扫频(Interpolating):插值扫频使用二分法来计算整个频段内的S参数和场解。使用插值扫频,HFSS自适应选择场解的频率点,并计算相邻两个频点之间的解的误差,找出最大误差,当两点之间的最大误差达到指定的误差收敛标准或者达到了设定的最大频点数目后,扫描完成;其他频率点上的S参数和场解由内插给出。

    四、HFSS中的变量和Optimetrics模块的使用

    HFSS不仅能够提供常规的电磁分析,还能够提供优化分析、参数扫描分析、灵敏度分析和统计分析等功能。这些功能都集中在HFSS中的Optimetrics模块中。要使用Optimetrics模块的这些分析和设计功能。首先需要定义和添加相关变量。

    1、HFSS中变量的定义和使用

    (1)HFSS中有两种类型的变量:工程变量(Project Variables)和设计变量/本地变量(Local Variables)

    工程变量和设计变量的区别:

    • 工程变量前面有一个"$"前缀,以和本地变量区分
    • 工程变量作用区间是整个Project,本地变量作用区间是所在的Design

    物体模型尺寸、物体的材料属性(工程变量)等都可以使用变量来表示。

    (2)变量的定义

    变量名:可以由数字、字母或下划线组成。每个变量在定义时都必须赋一个初始值,变量值可以是数值、数学表达式或者数学函数,也可以是数组、矩阵或者行列式。

    添加/删除变量:工程变量和设计变量操作不同

    • 添加和删除工程变量:Project > Project Variables 或者 [Project Tree] Project > Project Variables 打开 Project Properties 对话框
    • 添加和删除设计变量:HFSS > Design Properties 或者 [Project Tree] Design > Design Properties 打开 Design Properties 对话框
    • 在设计过程中,也可以直接输入未定义的变量代替设计参数,输入未定义的变量后,HFSS会自动弹出添加变量的对话框

    2、Optimetrics模块的功能介绍

    Optimetrics是集成在HFSS中的优化设计模块,该模块通过自动分析设计参数的变化对求解结果的影响,HFSS中Optimetrics模块能够提供如下分析设计功能:

    • 参数扫描分析(Parametric)

    参数扫描分析功能可以用来分析物体的性能随着指定变量的变化而变化的关系,在优化设计之前一般使用参数扫描分析功能来确定被优化变量的合理变化区间

    参数扫描分析步骤:

    • 首先需要定义变量并添加求解设置项
    • HFSS > Optimetrices > Add Parametric...弹出 Setup Sweep Analysis 对话框,添加扫描变量
    • 或选中Project Manager 中的 Optimetrics,单击右键 Add > Parametric,弹出 Setup Sweep Analysis 对话框,添加扫描变量
    • 设置好扫面变量后,点击”Analyze“就可以进行参数扫描分析
    • 查看分析结果
    • 优化设计(Optimization)

    优化设计是HFSS软件结合Optimetrics模块根据特定的优化算法在所有可能的设计变化中寻找出一个满足设计要求的值的过程

    优化设计的过程:

    • 首先需要明确设计要求或设计目标
    • 然后用户根据设计要求创建初始结构模型(Nominal Design)、定义设计变量并构造目标函数
    • 最后指定优化算法进行优化。

    在这里插入图片描述

    • 调谐分析(Tuning)

    调谐分析功能是改变变量值的同时实时显示对求解结果的影响程度

    HFSS中的调谐分析功能是用户在手动改变变量值得同时能实时显示求解结果

    在这里插入图片描述

    • 灵敏度分析(Sensitivity)

    灵敏度定义为电磁特性/求解结果的变化与电路参数的变化的比值,使用HFSS进行电磁分析时S参数是很常用的一个分析结果。灵敏度分析功能是用来分析设计参数的微小变化对求解结果的影响程度

    • 统计分析(Statistical)

    统计分析功能是利用统计学的观点来研究设计参数容差对求解结果的影响,常用的方法是蒙特卡洛法

    在这里插入图片描述

    五、HFSS的数据后处理

    使用HFSS进行电磁问题的求解分析过程中以及完成求解分析之后,利用数据后处理功能能够直观地给出问题地各种求解信息和求解结果。

    1、求解信息数据(Solution Data)

    HFSS > Results > Solution Data 命令,或者右键单机工程树Results节点,从弹出菜单中选择Solution Data命令,可以打开求解信息对话框,显示各种求解信息。

    2、Results数值结果

    (1)显示方式

    HFSS后处理模块能够以多种方式来显示分析数值结果,这些数值结果地显示方式包括:(右击Results > Create Model Solution Data Report)

    • Rectangular Plot:直角坐标图形显示
    • Rectangular Stacked Plot
    • Polar Plot:极坐标图像显示
    • Data Table:数据列表显示
    • Smith Chart: 史密斯圆图显示
    • 3D Rectangular Plot:三维直角坐标
    • 3D Polar Plot:三维球坐标图形显示
    • Radiation Pattern:辐射方向图

    (2)参数类型

    模式驱动求解:

    • Output Variables:用户自定义的输出变量
    • S Parameter:散射参数
    • Y Parameter:导纳参数
    • Z Parameter:阻抗参数
    • VSWR:电压驻波比
    • Gamma:传播常数
    • Port Zo:端口特征阻抗
    • Active S Parameter
    • Active Y Parameter
    • Active Z Parameter
    • Active VSWR

    终端驱动求解:

    • Output Variables:用户自定义的输出变量
    • S Parameter:散射参数
    • Y Parameter:导纳参数
    • Z Parameter:阻抗参数
    • VSWR:电压驻波比
    • Power:功率
    • Voltage Transform matrix:电压传输矩阵
    • Terminal Port Zo:端口特征阻抗
    • Active S Parameter
    • Active Y Parameter
    • Active Z Parameter
    • Active VSWR

    (3)输出变量

    右键单击工程树下的Result节点,从弹出菜单中选择Output Variables命令,便可打开输出变量的定义对话框

    3、Field Overlays场分布图

    在HFSS求解完成之后可以通过右击 Field Overlays 来查看电场、磁场、电流密度、坡印廷矢量等场分布图。

    (1)电场E

    Mag_E:电场幅度瞬时值

    ComplexMag_E:电场幅度有效值

    Vector_E:电场矢量

    (2)磁场H

    Mag_H:磁场幅度瞬时值

    ComplexMag_H:磁场幅度有效值

    Vector_H:磁场矢量

    (3)电流密度J

    Mag_Jvol:体电流密度瞬时值

    ComplexMag_Jvol:体电流密度有效值

    Vector_Jvol:体电流密度矢量

    Mag_Jsurf:面电流密度瞬时值

    ComplexMag_Jsurf:面电流密度有效值

    Vector_Jsurf:面电流密度矢量

    (4)其他

    Vector_RealPoynting:坡印廷矢量

    Local\_SAR和Average\_SAR:局部SAR值和平均SAR值

    六、天线问题的数据后处理

    1、天线方向图

    创建天线的方向图:Results > Create Model Solution Data Report > 3D Polar Plot

    天线的辐射场在固定距离上随球坐标系的角坐标 θ 、φ 分布的图形被称为辐射方向图,简称方向图。方向图通常在远区场确定。用辐射场强表示的方向图称为场强方向图,用辐射功率密度表示的方向图称为功率方向图。

    2、天线性能参数

    右击Radiation,创建好查看天线性能参数:右击天线辐射方向图 > Compute Antenna Parameters

    • Incident Power:输入功率

    HFSS中输入功率是指定义的端口激励功率

    • Acceptable Power:净输入功率

    净输入功率是指世纪流入天线端口的输入功率,如果分别使用 Pacc 和 Pinc 表示净输入功率和输入功率,对于只有一个传输模式的单端口天线,有:

    • Radiated Power:辐射功率

    辐射功率是指经由天线辐射到自由空间里的电磁能量,天线的辐射功率可以用坡印廷矢量的曲面积分来计算:

    • Radiation Efficiency:辐射效率

    辐射效率是辐射功率和净输入功率的比值

    • Max U:最大辐射强度

    辐射强度U是指每单位立体角内天线辐射出的功率,Max U是辐射强度的最大值

    η 自由空间中的波阻抗为 377Ω,r 为远区场点与天线之间的距离

    • Peak Directivity:方向性系数

    天线的方向性系数是指在相同的辐射功率和相同的距离的情况下,天线在最大辐射方向上的辐射功率密度与无方向性天线在该方向上的辐射功率密度的比值

    天线增益是指在相同的净输入功率和相同距离的情况下,天线在最大辐射方向上的辐射功率密度与无方向性天线在该方向上的辐射功率密度的比值

    • Peak Realized Gain:最大实际增益

    天线的最大实际增益是指在相同的输入功率和相同距离的情况下,天线在最大辐射方向上的辐射功率密度与无方向性天线在该方向上的辐射功率密度的比值

    • Front to back Ration:前后向比

    又称为轴比(Axis Ratio),指方向图中前后瓣的最大比值,代表天线的极化程度

    3、天线阵的处理

    由相同的天线单元构成的天线阵的方向图等于单个天线单元的方向图与阵因子的乘积。其中,阵因子取决于天线单元之间的振幅、相位差和相对位置,与天线的类型、尺寸无关。在HFSS中,可以定义天线阵元排列结构和激励方式,然后通过仿真分析分析单个天线单元的方向图等天线参数和阵因子来仿真分析整个天线阵列的方向图等天线参数。HFSS支持两种天线阵列类型:规则排列的均匀天线阵列(Regular Uniform Array)和用户自定义排列(Custom Array)。
    其中用户自定义阵列:允许用户使用文本文件自定义阵因子信息,然后导入到HFSS软件中,HFSS计算得到阵因子。用户自定义阵列允许更大的灵活性,可以构造天线阵元在空间任意分布的天线阵列。

    2
    Verilog-位宽计算的系统函数$clog2
    « 上一篇 2023-03-13
    天线设计2-电磁学基础与线形天线
    下一篇 » 2023-03-08

    评论 (0)

    取消